Skip to content

Commit 7f3bb9f

Browse files
fix design compiler (#270)
1 parent 1786fbf commit 7f3bb9f

File tree

1 file changed

+0
-2
lines changed

1 file changed

+0
-2
lines changed

rtl/cve2_fetch_fifo.sv

-2
Original file line numberDiff line numberDiff line change
@@ -225,7 +225,6 @@ module cve2_fetch_fifo #(
225225
end
226226

227227
for (genvar i = 0; i < DEPTH; i++) begin : g_fifo_regs
228-
begin : g_rdata
229228
always_ff @(posedge clk_i or negedge rst_ni) begin
230229
if (!rst_ni) begin
231230
rdata_q[i] <= '0;
@@ -235,7 +234,6 @@ module cve2_fetch_fifo #(
235234
err_q[i] <= err_d[i];
236235
end
237236
end
238-
end
239237
end
240238

241239
////////////////

0 commit comments

Comments
 (0)