-
Notifications
You must be signed in to change notification settings - Fork 320
New issue
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
[MooreToCore]Remove unexpected observed values for WaitOp. #8255
base: main
Are you sure you want to change the base?
Conversation
Thanks @hailongSun2000 for working on that! I think you also need to check for module Test(output logic [31:0] out);
always_comb begin
out[30:0] = 'h0;
out[31] = 'h1;
end
endmodule
|
also this code will wait in1 and in2
do you think we should refine this kind of problem in llhd? |
@chenbo-again I think your example is expected to have We could factor out this observed values interference into a separate pass that runs before MooreToCore if we want. And then assume that there is already an explicit |
Yes, you're totally right! There are assignment-like ops. Checking them is needed. 👍 |
Maybe you can check the SystemVerilog IEEE Std 1800-2017 9.4.2.2 § Implicit event_expression list. |
18f8dec
to
92790aa
Compare
No description provided.